新聞中心

        EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于VHDL的基帶信號的MFSK調(diào)制

        基于VHDL的基帶信號的MFSK調(diào)制

        作者: 時間:2012-05-23 來源:網(wǎng)絡(luò) 收藏

        --多進(jìn)制數(shù)字頻率()系統(tǒng)程序

        本文引用地址:http://www.104case.com/article/149006.htm

          --文件名:

          --功能:硬件描述語言,完成對

          --說明:這里MFSK的M為4

          --最后修改日期:2004.2.13

          library ieee;

          use ieee.std_logic_arith.all;

          use ieee.std_logic_1164.all;

          use ieee.std_logic_unsigned.all;

          entity MFSK is

          port(clk :in std_logic; --系統(tǒng)時鐘

          start :in std_logic; --開始

          x :in std_logic; --

          y :out std_logic); --調(diào)制信號

          end MFSK;

          architecture behav of MFSK is

          process(clk) process(clk,yy) --此進(jìn)程完成對輸入信號x的MFSK調(diào)制

          begin

          if clk'event and clk='1' then

          if start='0' then y='0'; -- if語句完成2位并行碼到4種載波的選通

          elsif yy=00 then y=not f(3);

          elsif yy=01 then y=not f(2);

          elsif yy=10 then y=not f(1);

          else y=not f(0);

          end if;

          end if;

          end process;

          end behav;

        --對輸入的基帶信號x進(jìn)行串/并轉(zhuǎn)換,得到2位并行信號的yy

          begin

          if clk'event and clk='1' then

          if start='0' then q=0;

          elsif q=0 then q=1;xx(1)=x;yy=xx;

          elsif q=8 then q=9;xx(0)=x;

          else q=q+1;

          end if;

          end if;

          end process;



        關(guān)鍵詞: MFSK 調(diào)制 信號 基帶 VHDL 基于

        評論


        相關(guān)推薦

        技術(shù)專區(qū)

        關(guān)閉
        主站蜘蛛池模板: 保德县| 华容县| 福海县| 樟树市| 桐梓县| 敦煌市| 通州区| 启东市| 晋江市| 时尚| 电白县| 青海省| 湘西| 宁夏| 肥西县| 滨海县| 伊金霍洛旗| 鄂伦春自治旗| 安庆市| 布尔津县| 富蕴县| 潢川县| 巴林左旗| 区。| 永康市| 从江县| 龙里县| 铁岭县| 冷水江市| 通榆县| 当雄县| 辽阳市| 荆门市| 资阳市| 凉城县| 深州市| 绥化市| 安溪县| 通山县| 郓城县| 肥东县|