新聞中心

        EEPW首頁 > 模擬技術(shù) > 設(shè)計應(yīng)用 > 一種DDS的優(yōu)化設(shè)計

        一種DDS的優(yōu)化設(shè)計

        作者: 時間:2011-08-26 來源:網(wǎng)絡(luò) 收藏
        當(dāng)MSB-1為‘0’(一,三象限)時,對查找地址phase(5...0)不做任何處理;當(dāng)其為‘1’(二,四象限)時,對phase(5...0)取反。ROM的輸出為10位數(shù)據(jù),其中最高位為符號位。當(dāng)MSB為‘0’(一,二象限)時,輸出信號符號位為‘0’,低9為ROM中的幅度數(shù)據(jù);當(dāng)其為‘1’(三,四象限)時,輸出信號符號位為‘1’,低9位為ROM中的幅度數(shù)據(jù)的相反數(shù)的補碼。ROM的VHDL實現(xiàn)的主要部分如下:

        本文引用地址:http://www.104case.com/article/187371.htm

          architecture Behavioral of rom is

          signal sin:STD_LOGIC_VECTOR(8 downto 0);

          signal temp:STD_LOGIC_VECTOR(5 downto 0);

          begin

          temp=phase when MSB-1=′0′ else

          not phase;

          process(temp)

          begin

          case temp is

          when ″000000″=>

          sin=″000000000″;

          …… --正弦查找表由MATLAB生成

          end case;

          end process;

          data_out=″0″ sin when MSB=′0′ else

          ″1″ not sin+″000000001″;

          end Behavioral;

          2.3 同步接口電路設(shè)計

          在使用時,需要為其提供頻率控制字K的值,一般通過中央控制單元MCU來完成,其以數(shù)據(jù)總線及寫時鐘信號的方式與FPGA內(nèi)的實體進(jìn)行通訊,同時在FPGA內(nèi)部又是在本地時鐘fc驅(qū)動下運行。由于MCU的寫時鐘和FPGA內(nèi)的本地時鐘異步,兩者之間進(jìn)行通訊難免存在數(shù)據(jù)不穩(wěn)等問題,特別是在通訊速度較高時,這一異步接口問題會更加突出。為了實現(xiàn)異步接口的同步化,本文提出了如圖3所示的接口同步電路。

          

        異步接口同步處理電路

        關(guān)鍵詞: DDS 優(yōu)化設(shè)計

        評論


        相關(guān)推薦

        技術(shù)專區(qū)

        關(guān)閉
        主站蜘蛛池模板: 仙居县| 江孜县| 永仁县| 铜川市| 南汇区| 卢湾区| 乐平市| 顺平县| 专栏| 凤庆县| 修水县| 黔西| 禹城市| 宣武区| 隆回县| 吐鲁番市| 罗山县| 青铜峡市| 临湘市| 行唐县| 镇赉县| 左权县| 昌图县| 鄱阳县| 文登市| 鱼台县| 崇阳县| 吉首市| 灵宝市| 老河口市| 郴州市| 永城市| 郓城县| 兰西县| 抚远县| 临江市| 天全县| 齐齐哈尔市| 泗水县| 竹北市| 旌德县|